Resource Type

Journal Article 21

Year

2023 4

2022 1

2021 3

2020 2

2018 2

2017 1

2009 1

2008 1

2007 1

2006 1

2005 1

2003 1

2001 1

open ︾

Keywords

decoupling control 4

Decoupling 2

decoupling 2

5G smartphone 1

Antenna array 1

BPMSM 1

Balance 1

Biomedical micromanipulation 1

Coalition game 1

DSP 1

Decoupling methods 1

Downlink-uplink decoupling 1

Electric vehicle 1

Field-effect transistor 1

Fog radio access network (F-RAN) 1

Force sensor 1

Generalization 1

Generalized Welch-bound equality 1

Heterogeneous cellular network 1

open ︾

Search scope:

排序: Display mode:

Joint user association and resource partition for downlink-uplink decoupling inmulti-tierHetNets Article

Zhi-yong FENG, Ze-bing FENG, T. Aaron GULLIVER

Frontiers of Information Technology & Electronic Engineering 2017, Volume 18, Issue 6,   Pages 817-829 doi: 10.1631/FITEE.1700031

Abstract: Traditional cellular networks require the downlink (DL) and uplink (UL) of mobile users (MUs) to be associatedgap between BSs and MUs in different transmission environments results in the BS with the strongest downlinkdiffering from the BS with the strongest uplink.accessing cellular networks has created a DL/UL traffic imbalance with higher traffic volume on the uplinkIn this paper, a joint user association and resource partition framework for downlink-uplink decoupling

Keywords: Downlink-uplink decoupling     User association     Resource partition     Heterogeneous cellular network     Coalition    

A study of uplink and downlink channel spatial characteristics in an urban micro scenario at 28 GHz

Tao Jiang, Jianhua Zhang, Pan Tang, Lei Tian,jet@bupt.edu.cn,jhzhang@bupt.edu.cn

Frontiers of Information Technology & Electronic Engineering 2021, Volume 22, Issue 4,   Pages 488-502 doi: 10.1631/FITEE.2000443

Abstract: This paper presents an empirical study of the and (AoA) in an urban micro (UMi) scenario at 28 GHz. At present, most UMi measurements are conducted in the and then the situation is inferred assuming channel reciprocity. Although the channel correlation coefficient of the and can be as high as 0.8, this does not mean that they are the same. Only a real measurement can accurately describe its channel conditions, and this is what this study does. A receiver equipped with a rotatable horn antenna is mounted at the base station and the user terminal, respectively, in simulating the and . To improve the angular resolution, we extract the multipath components (MPCs) using the space-alternating generalized expectation-maximization algorithm. Also, a spatial lobe approach is used to cluster the MPCs in the power angular spectrum. By matching MPCs with objects in the environment, we find that direct propagation and first-order reflections are dominant in line-of-sight and non-line-of-sight cases. By comparing our measurement with those in standard channel models, we verify that the AoA of clusters follows a Gaussian distribution in the and . In addition, a two-dimensional Gaussian distribution for ray AoA and power is established to reflect their correlation.

Keywords: 信道测量;毫米波;上行链路;下行链路;水平到达角    

Novel interpretable mechanism of neural networks based on network decoupling method

Frontiers of Engineering Management 2021, Volume 8, Issue 4,   Pages 572-581 doi: 10.1007/s42524-021-0169-x

Abstract: The lack of interpretability of the neural network algorithm has become the bottleneck of its wide application. We propose a general mathematical framework, which couples the complex structure of the system with the nonlinear activation function to explore the decoupled dimension reduction method of high-dimensional system and reveal the calculation mechanism of the neural network. We apply our framework to some network models and a real system of the whole neuron map of Caenorhabditis elegans. Result shows that a simple linear mapping relationship exists between network structure and network behavior in the neural network with high-dimensional and nonlinear characteristics. Our simulation and theoretical results fully demonstrate this interesting phenomenon. Our new interpretation mechanism provides not only the potential mathematical calculation principle of neural network but also an effective way to accurately match and predict human brain or animal activities, which can further expand and enrich the interpretable mechanism of artificial neural network in the future.

Keywords: neural networks     interpretability     dynamical behavior     network decouple    

A bionic approach for the mechanical and electrical decoupling of an MEMS capacitive sensor in ultralow

Frontiers of Mechanical Engineering 2023, Volume 18, Issue 2, doi: 10.1007/s11465-023-0747-1

Abstract: In this work, a bionic swallow structure design norm was developed for mechanical decoupling, and theElectrostatic instability was evaluated, and electrostatic decoupling performance was verified on theBased on the proposed bionic decoupling method, the fabricated sensor exhibited overwhelming capacitive

Keywords: micro-electro-mechanical system capacitive sensor     bionics     operation instability     mechanical and electrical decoupling    

Joint uplink and downlink resource allocation for low-latency mobile virtual reality delivery in fog Research Article

Tian DANG, Chenxi LIU, Xiqing LIU, Shi YAN,tiandang@bupt.edu.cn,chenxi.liu@bupt.edu.cn,liuxiqing@bupt.edu.cn,yanshi01@bupt.edu.cn

Frontiers of Information Technology & Electronic Engineering 2022, Volume 23, Issue 1,   Pages 73-85 doi: 10.1631/FITEE.2100308

Abstract: have been devoted to designing efficient strategies for realizing successful mobile VR delivery in downlink, the equally important problem of mobile VR delivery in uplink has so far drawn little attention.In this work, we investigate a mobile VR F-RAN delivery framework, where both the uplink and downlinksatisfying the practical constraints on caching, computation capability, and transmission capacity in the uplinkand downlink.

Keywords: Virtual reality delivery     Fog radio access network (F-RAN)     Round-trip latency     Resource allocation    

A novel decoupling approach to an integrated optical-fiber proximity sensor for robots

LIU Guixiong, LI Xiani

Frontiers of Mechanical Engineering 2007, Volume 2, Issue 2,   Pages 164-167 doi: 10.1007/s11465-007-0027-5

Abstract: The corresponding decoupling approach to the sensor is presented on the basis of multiscale characteristicsThe decoupling process can be divided into two parts: classifying the information on the basis of the

Keywords: multiscale     different     self-compensational integrated     information     implementation    

Decomposition and decoupling analysis of electricity consumption carbon emissions in China

Frontiers of Engineering Management   Pages 486-498 doi: 10.1007/s42524-022-0215-3

Abstract: We use the decomposition and decoupling technology of logarithmic mean Divisia index method to quantifyMoreover, we utilize the decoupling model to analyze the decoupling state between carbon emissions and

Keywords: electricity consumption carbon emission measurement     LMDI model     decoupling model     data driven    

Knowledge-Based Variable Structure Decoupling Control of a Nonlinear Multivariable System

Tu Chengyuan,Zeng Yanjun

Strategic Study of CAE 2001, Volume 3, Issue 10,   Pages 48-52

Abstract:

A knowledge-based variable-structure decoupling is developed, and be utilized to control a nonlinearmodel-establishing process, especially avoid large amount of complex matrix operations required for the conventional decoupling

Keywords: nonlinear multivariable system     decoupling control     knowledge based variable structure decoupling    

Enhanced uplink non-orthogonalmultiple access for 5Gand beyond systems None

Wen-jia LIU, Xiao-lin HOU, Lan CHEN

Frontiers of Information Technology & Electronic Engineering 2018, Volume 19, Issue 3,   Pages 340-356 doi: 10.1631/FITEE.1700842

Abstract: Uplink non-orthogonal multiple access (NOMA) is a promising technique to meet the requirements of theWe propose three enhancements of uplink NOMA to achieve the requirements of massive connectivity and

Keywords: Uplink non-orthogonal multiple access     Generalized Welch-bound equality     Multi-level received powers     Sequence    

Decoupling methods of MIMO antenna arrays for 5G applications: a review Review Articles

Xiao-xi ZHANG, Ai-di REN, Ying LIU

Frontiers of Information Technology & Electronic Engineering 2020, Volume 21, Issue 1,   Pages 62-71 doi: 10.1631/FITEE.1900466

Abstract: To achieve an acceptable isolation level, many decoupling methods have been developed.We review the most recent research on decoupling methods, including the employment of external decoupling

Keywords: MIMO array     5G smartphone     Decoupling methods    

Study on Decoupling Control of Bearingless Permanent Magnet Synchronous Motors Based on Inverse System

Fei Decheng,Zhu Huangqiu

Strategic Study of CAE 2005, Volume 7, Issue 11,   Pages 48-54

Abstract:

A decoupling control approach based on dynamic inverse system theory has been developed for the bearinglessThen feasibility of decoupling control based on dynamic inversion theory for BPMSM is discussed in detail, and the dynamic decoupling control arithmetic based on inverse system is deduced.Finally, the simulation results have showed that this kind of control strategy can realize dynamic decoupling

Keywords: BPMSM     inverse system     dynamic feedback linearization     decoupling control    

Analytical delay estimation of coupled RLC interconnects under ramp input

Li Xin,Janet M Wang,Tang Weiqing,Wu Huizhong

Strategic Study of CAE 2008, Volume 10, Issue 11,   Pages 59-64

Abstract: Then the decoupling method is improved and the coupled interconnects model under ramp input is decoupled

Keywords: ramp input     coupled RLC interconnects     delay     decoupling     two-pole model     improved modified one-pole model    

Decoupling optimization of integrated energy system based on energy quality character

Shixi MA, Shengnan SUN, Hang WU, Dengji ZHOU, Huisheng ZHANG, Shilie WENG

Frontiers in Energy 2018, Volume 12, Issue 4,   Pages 540-549 doi: 10.1007/s11708-018-0597-4

Abstract:

Connections among multi-energy systems become increasingly closer with the extensive application of various energy equipment such as gas-fired power plants and electricity-driven gas compressor. Therefore, the integrated energy system has attracted much attention. This paper establishes a gas-electricity joint operation model, proposes a system evaluation index based on the energy quality character after considering the grade difference of the energy loss of the subsystem, and finds an optimal scheduling method for integrated energy systems. Besides, according to the typical load characteristics of commercial and residential users, the optimal scheduling analysis is applied to the integrated energy system composed of an IEEE 39 nodes power system and a 10 nodes natural gas system. The results prove the feasibility and effectiveness of the proposed method.

Keywords: integrated energy system     energy quality character     optimization     electric power system     natural gas system    

Adjusting Industrial Structure and Reducing Carbon Emission Intensity: International Comparison and Experience Enlightenment

Guo Shiyi, Liu Wenqiang, Zhao Weidong

Strategic Study of CAE 2021, Volume 23, Issue 6,   Pages 22-32 doi: 10.15302/J-SSCAE-2021.06.010

Abstract:

Developed countries have reduced their carbon emission intensity by adjusting industrial structure, which is an important reference for China to achieve carbon peak and carbon neutralization. In this article, we analyze the changes of total carbon emission, carbon emission per capita, carbon emission intensity, and industrial structure in major developed countries, thus to obtain their experience regarding adjusting industrial structure and reducing carbon emission intensity. Developed countries have generally decoupled economic growth and carbon emissions since 1965 via industrial transfer, scientific and technological innovation, and service industry upgrading; however, a manufacturing hollowing problem emerged. Considering the unfinished industrialization process in China and the large proportion of high energy-consuming industries, we suggest that China cultivates emerging industries and develops digital economy to optimize and upgrade its industrial structure. Additionally, it should further strengthen the manufacturing industry and coordinate industrial transfer in China and abroad while ensuring the security of industrial and supply chains, thereby optimizing the spatial layout of industries and forming a new development pattern.

Keywords: industrial structure     carbon emission intensity     carbon emissions per capita     international comparison     decoupling    

The Research on Rotor Magnetic Flux Oriented Control System for Bearingless Permanent Magnet Synchronous Motor

Zhu Huangqiu,Wu Liang,Zhou Yang,Zhang Tengchao,Deng Jianming

Strategic Study of CAE 2006, Volume 8, Issue 6,   Pages 35-40

Abstract: A digital vector control system according to the demand of decoupling is designed by using TMS320LF2407

Keywords: bearingless permanent magnet synchronous motor     decoupling control     DSP     digital control    

Title Author Date Type Operation

Joint user association and resource partition for downlink-uplink decoupling inmulti-tierHetNets

Zhi-yong FENG, Ze-bing FENG, T. Aaron GULLIVER

Journal Article

A study of uplink and downlink channel spatial characteristics in an urban micro scenario at 28 GHz

Tao Jiang, Jianhua Zhang, Pan Tang, Lei Tian,jet@bupt.edu.cn,jhzhang@bupt.edu.cn

Journal Article

Novel interpretable mechanism of neural networks based on network decoupling method

Journal Article

A bionic approach for the mechanical and electrical decoupling of an MEMS capacitive sensor in ultralow

Journal Article

Joint uplink and downlink resource allocation for low-latency mobile virtual reality delivery in fog

Tian DANG, Chenxi LIU, Xiqing LIU, Shi YAN,tiandang@bupt.edu.cn,chenxi.liu@bupt.edu.cn,liuxiqing@bupt.edu.cn,yanshi01@bupt.edu.cn

Journal Article

A novel decoupling approach to an integrated optical-fiber proximity sensor for robots

LIU Guixiong, LI Xiani

Journal Article

Decomposition and decoupling analysis of electricity consumption carbon emissions in China

Journal Article

Knowledge-Based Variable Structure Decoupling Control of a Nonlinear Multivariable System

Tu Chengyuan,Zeng Yanjun

Journal Article

Enhanced uplink non-orthogonalmultiple access for 5Gand beyond systems

Wen-jia LIU, Xiao-lin HOU, Lan CHEN

Journal Article

Decoupling methods of MIMO antenna arrays for 5G applications: a review

Xiao-xi ZHANG, Ai-di REN, Ying LIU

Journal Article

Study on Decoupling Control of Bearingless Permanent Magnet Synchronous Motors Based on Inverse System

Fei Decheng,Zhu Huangqiu

Journal Article

Analytical delay estimation of coupled RLC interconnects under ramp input

Li Xin,Janet M Wang,Tang Weiqing,Wu Huizhong

Journal Article

Decoupling optimization of integrated energy system based on energy quality character

Shixi MA, Shengnan SUN, Hang WU, Dengji ZHOU, Huisheng ZHANG, Shilie WENG

Journal Article

Adjusting Industrial Structure and Reducing Carbon Emission Intensity: International Comparison and Experience Enlightenment

Guo Shiyi, Liu Wenqiang, Zhao Weidong

Journal Article

The Research on Rotor Magnetic Flux Oriented Control System for Bearingless Permanent Magnet Synchronous Motor

Zhu Huangqiu,Wu Liang,Zhou Yang,Zhang Tengchao,Deng Jianming

Journal Article